Signal name from expression

Does Camunda support specifying the signal name as expression, instead of hardcoding the attribute “signal Name”?

I want to have a DMN table that will decide what signal name needs to be used based on the certain input params. The output signal name from DMN needs to be used dynamically linked with the intermediate/ boundary signal catch events.

Hi,

It is possible.
https://docs.camunda.org/manual/7.9/reference/bpmn20/events/signal-events/#expressions

Best regards,
Yana

2 Likes